欢迎光临
免费的PDF电子书下载网站

FPGA设计实战演练(逻辑篇)(电子设计与嵌入式开发实践丛书) PDF下载

内容简介

本书面对广大的FPGA/CPLD初学者,从零开始讲述FPGA/CPLD以及相关的基础知识,并以一个支持各种入门、进阶的子母板形式学习套件为实验平台,24个应用实例贯穿其中。实例讲解深入浅出,不仅有基本的Verilog语法讲解,也有设计思路和背景知识的详细描述;开发工具(Quartus II+ModelSim)的使用更是手把手图文并茂展示给大家。

本书有对基础理论知识专门的讲解,也有非常详细的实例演练和讲解,更多的是在实践中传递实用的设计技巧和方法,书中给出的源代码都经过了实际项目的经验,读者可在清华大学出版社网站本书页面下载相关的源代码。

作者简介

吴厚航[网名 特权同学]:热爱FPGA开发设计工作,擅长记录、分析并总结经验及技巧。个人技术博客在业内有极佳的口碑。在著名电子网站EDN China创建的FPGA/CPLD助学小组成员过万,提供了众多适合入门和进阶的FPGA/CPLD实验例程以及相关资料,帮助众多的初学者迈入FPGA开发的殿堂。已出版《深入浅出玩转FPGA》、《FPGA/CPLD边练边学——快速入门Verilog/VHDL》、《爱上FPGA开发——特权和你一起学NIOS II》、《FPGA快速系统原型设计权威指南》(译者)等广受好评的FPGA技术图书。

FPGA设计实战演练(逻辑篇)(电子设计与嵌入式开发实践丛书) PDF下载

目录

目录

第1章概念扫盲

1.1FPGA简单入门

1.2FPGA应用领域

1.3FPGA的优势

1.4开发流程

思考

第2章逻辑设计基础

2.10和1——精彩世界由此开始

2.2表面现象揭秘——逻辑关系

2.3内里本质探索——器件结构

思考

第3章实验平台板级设计

3.1FPGA板级电路设计五要素

3.1.1能量供应——电源电路

3.1.2心脏跳动——时钟电路

3.1.3状态初始——复位电路

3.1.4灵活定制——配置电路

3.1.5自由扩展——外设电路

3.2FPGA核心板设计

3.2.1读懂器件手册

3.2.2核心板电路设计架构

3.2.3电源电路设计

3.2.4时钟和复位电路设计

3.2.5配置电路设计

3.2.6SDRAM电路设计

3.2.7引脚分配规划和扩展I/O电路

3.3扩展子板设计

3.3.1基本外设子板

3.3.2LCD显示驱动子板

3.3.3VGA显示驱动子板

3.3.4USB和UART串口子板

3.3.5超声波与视频采集子板

思考

第4章开发工具简介

4.1软件下载和license申请

4.2Quartus Ⅱ的安装

4.3ModelSimAltera的安装

4.4USBBlaster的驱动安装

思考


FPGA设计实战演练(逻辑篇)


第5章Verilog语法概述

5.1语法学习的经验之谈

5.2可综合的语法子集

5.3代码风格与书写规范

思考

第6章入门实例

6.1分频计数之LED闪烁

6.1.1功能概述

6.1.2设计说明

6.1.3源码解析

6.1.4板级调试

6.2分频计数之蜂鸣器

6.2.1功能概述

6.2.2设计说明

6.2.3源码解析

6.2.4板级调试

6.3流水灯控制

6.3.1功能概述

6.3.2设计说明

6.3.3源码解析

6.3.4板级调试

6.4模式流水灯

6.4.1功能概述

6.4.2设计说明

6.4.3源码解析

6.4.4板级调试

6.5数码管显示驱动

6.5.1功能概述

6.5.2设计说明

6.5.3源码解析

6.5.4板级调试

6.6LCD显示驱动

6.6.1功能概述

6.6.2设计说明

6.6.3源码解析

6.6.4板级调试

6.7LCD的32级红色显示

6.7.1功能概述

6.7.2设计说明

6.7.3源码解析

6.7.4板级调试

6.8VGA/SVGA显示驱动

6.8.1功能概述

6.8.2设计说明

6.8.3源码解析

6.8.4板级调试

6.9超声波测距数据采集

6.9.1功能概述

6.9.2设计说明

6.9.3源码解析

6.9.4板级调试

6.10倒车雷达

6.10.1功能概述

6.10.2设计说明

6.10.3源码解析

6.10.4板级调试

6.11UART串口收发测试

6.11.1功能概述

6.11.2设计说明

6.11.3源码解析

6.11.4板级调试

第7章片内资源应用

7.1PLL配置

7.1.1功能概述

7.1.2源码解析

7.1.3板级调试

7.2片内存储器应用之ROM

7.2.1功能概述

7.2.2设计说明

7.2.3源码解析

7.2.4板级调试

7.3片内存储器应用之单口RAM

7.3.1功能概述

7.3.2设计说明

7.3.3源码解析

7.3.4板级调试

7.4片内存储器应用之移位寄存器

7.4.1功能概述

7.4.2设计说明

7.4.3源码解析

7.4.4板级调试

7.5片内存储器应用之FIFO

7.5.1功能概述

7.5.2设计说明

7.5.3源码解析

7.5.4板级调试

7.6基于FPGA内嵌RAM的LCD字符显示

7.6.1功能概述

7.6.2设计说明

7.6.3源码解析

7.6.4板级调试

思考

第8章时序设计实例

8.1时序分析基础

8.1.1基本的时序分析理论

8.1.2时钟、建立时间和保持时间

8.1.3基本时序路径

8.1.4reg2reg路径的时序分析

8.2VGA驱动接口时序设计

8.3CMOS摄像头接口时序设计

第9章设计仿真

9.1仿真验证概述

9.2ModelSim软件概述

思考

第10章在线调试实例

10.1基于FPGA的在线系统调试概述

10.2基于InSystem Logic Analyzer的UART串口接收数据采集

10.2.1功能概述

10.2.2设计说明

10.2.3源码解析

10.2.4板级调试

10.3基于InSystem Sources and Probes Editor的A/D采集

10.3.1功能概述

10.3.2设计说明

10.3.3源码解析

10.3.4板级调试

10.4基于InSystem Sources and Probes Editor的D/A采集

10.4.1功能概述

10.4.2设计说明

10.4.3源码解析

10.4.4板级调试

10.5基于InSystem Memory Content Editor的LCD实时显示字符更改

10.5.1功能概述

10.5.2设计说明

10.5.3源码解析

10.5.4板级调试

思考

第11章视频图像采集设计

11.1CMOS摄像头应用背景与驱动原理

11.2视频采集系统设计概述

11.3I2C接口配置模块设计

11.4视频流采集设计

11.5SDRAM控制器设计

11.6LCD控制器设计

11.7工程实践与板级调试

前沿

序言

很高兴再次为吴厚航(网络大名:特权同学)的书《FPGA设计实战演练(逻辑篇)》写序言。

逻辑与时序是FPGA设计的核心,也是所有学习FPGA设计的根本。大学里学习的数字电路,讲的就是逻辑。要成为合格的工程师,首先要具备“逻辑”思维。其次还要了解和掌握数字电路,FPGA本身的硬件结构特点和软件的使用方法,才能设计出你希望得到的产品。

那么时序在FPGA中扮演的角色更加不能或缺,它是完成逻辑功能的流程实现。数字电路中的时钟信号就好比人的心脏,整个电路的运作完全靠它来实现。在完成你的宏伟“逻辑”定制之后,能否顺利地忠于你的思路去很好的执行计划,就要靠“时序”了。

FPGA由最初的“粘合逻辑”发展的今天的“SOC-片上系统”,最根本的原因是FPGA包含了所有数字电路的元素。突出的特点是“灵活”,“并行运算速度最快”,“客户化定制”,以及“在线可重构”等等,是其它可编程器件“CPU,GPU,DSP”不具备的重要特征。

目前最热门的技术在FPGA上上演:OpenCL,SoC, Hard-Floating DSP,等等。使得FPGA有一统天下的趋势。我希望特权同学能在这几个方面有所斩获,使广大FPGA爱好者,工程师以及在校和将要走上工作岗位的同学们能够分享他的经验。

我推荐这本书,也同时希望特权同学能再接再厉,不断地写出更优秀的作品。

陈卫中

Altera公司大学计划中国区经理

2014年9月于成都


前言

FPGA技术在当前的电子设计领域越来越火热,它的成本虽然还是高高在上,但是它给电子系统所带来的不可限量的速度和带宽,及其在灵活性、小型性方面的优势,越来越为各种对性能要求高、偏重定制化需求的开发者所青睐。因此,越来越多的电子工程师和电子专业在校学生希望能够掌握这门技术。而一门电子技能的掌握,单凭几本初级入门教材是很难学好的。笔者结合自身的学习经历,为广大学习者量身打造了子母板形式的FPGA硬件开发学习平台。基于这个平台,配套本教材的各种基础概念阐释和例程讲解,相信可以帮助大家快速的掌握这一门新技术。

本书一共十一章,第一章是基础中的基础,讲述可编程器件的一些基本概念极其主要应用领域、相比于传统技术的优势和开发流程;第二章从最基础的0和1开始回顾数字电路的基础,也会深入探讨读者所关心的可编程器件的内部架构和原理;第三章则对后续例程中将要使用到的硬件开发学习平台进行介绍,深入详细的剖析了整个实验平台的硬件板级设计;第四章讲述开发环境的搭建,解决大家在学习路上遇到的最棘手的“软”问题;第五章是HDL语言基础,介绍使用最广泛的Verilog语言的基本语法及优良的代码书写规范和风格;第六章手把手教大家完成11个最基本的入门实例;第七章用6个实例来熟悉FPGA除了逻辑资源以外的其它丰富资源,如PLL、可配置为ROM、RAM、FIFO的内嵌存储器;第八章的2个实例重在时序设计的应用解析;第九章是设计仿真概述;第十章用4个实例来展示FPGA的一些灵活实用的在线调试手段;第十一章是一个完整的视频图像采集工程实例。本书有对基础理论知识专门的讲解,也有非常详细的实例演练和讲解,更多的是在实践中传递实用的设计技巧和方法,非常合适初学者。

在此要感谢广大的FPGA/CPLD爱好者们,你们的学习热情是我编写此书的源动力。感谢就职于骏龙科技的“师弟”张亚峰一直以来给予的技术支持。另外,也要特别感谢著名电子网站EDNChina的王志华和Tina,ChinaAET的杨晖、曾超宇、乐卫平、陈颖莹和贾志梅,电子发烧友的高美笛和钱姗姗,你们为广大网友们提供了一个非常友好的交流互动平台。

最后,要向我的家人致敬,你们是我前进路上的最坚强后盾。尤其要感谢我的妻子一直以来所给予我的鼓励和支持。身为一名基督徒,我同时也要感谢那些或在网络上、或在家庭聚会中相互扶持、相互鼓励的弟兄姊妹们,我的人生因你们变得愈加的平安、满足和喜乐,这是我能够一直积极向上、精力充沛的面对工作和生活的秘诀。

吴厚航

2014年6月于上海

FPGA设计实战演练(逻辑篇)(电子设计与嵌入式开发实践丛书) pdf下载声明

本pdf资料下载仅供个人学习和研究使用,不能用于商业用途,请在下载后24小时内删除。如果喜欢,请购买正版

pdf下载地址

版权归出版社和作者所有,下载链接已删除。如果喜欢,请购买正版!

链接地址:FPGA设计实战演练(逻辑篇)(电子设计与嵌入式开发实践丛书)