欢迎光临
免费的PDF电子书下载网站

物联网之芯:传感器件与通信芯片设计 PDF下载

编辑推荐

《物联网之芯:传感器件与通信芯片设计》

  1. 物联网领域的多位专家力荐;
  2. 国内物联网工程学科的奠基性作品;
  3. 一线物联网工程师和高校教学人员参与创作,阵容强大;
  4. 系统阐述物联网传感器件与通信芯片设计理念与方法
  5. 实用性强,注重教学的同时,非常注重物联网工程实践;

 ;“物联网工程实战丛书”是由山东大学信息科学与工程学院高级工程师曾凡太教授组织国内物联网领域的一线研发工程师和高校教研人员编写的系列作品。丛书系统地介绍了物联网的各种开发工具、设计语言、研发平台和工程案例等内容,勾勒出了物联网工程的学科结构及其专业必修课的范畴,充分体现了工程专业“理论扎实,操作见长”的学科特色,可为相关院校的物联网工程课程设置提供参考,并对工业、农业、商贸、物流、交通和医疗等行业的物联网工程应用指明方向。

丛书全面、系统地阐述了物联网理论基础、电路设计、专用芯片设计、物联网协议、物联网操作系统、云服务平台构建、智能硬件设计、物联网工程实践和智慧城市建设等内容,勾勒出了物联网工程的学科结构及其专业必修课的范畴,可以为相关院校的物联网工程课程设置提供参考。

丛书从硬件电路、芯片设计、软件开发、协议转换,到智能硬件研发(小项目)和智慧城市建设(大工程)等内容,都用了大量篇幅进行阐述。丛书系统地介绍了各种开发工具、设计语言、研发平台和工程案列等内容。充分体现了工程专业“理论扎实,操作见长”的学科特色,并对工业、农业、商贸、物流、交通和医疗等行业的物联网工程应用指明了方向。

丛书推出以下6卷:

《物联网之源:信息物理与信息感知基础》

《物联网之芯:传感器件与通信芯片设计》

《物联网之魂:物联网协议与物联网操作系统》

《物联网之云:云平台搭建与大数据处理》

《物联网之雾:基于雾计算的智能硬件快速反应与安全控制》

《物联网之智:智能硬件开发与智慧城市建设》

 ;

内容简介

《物联网之芯:传感器件与通信芯片设计》为“物联网工程实战丛书”第2卷。书中从物联网工程的实际需求出发,阐述了传感器件与通信芯片的设计理念,从设计源头告诉读者要设计什么样的芯片。集成电路设计是一门专业技术,其设计方法和流程有专门的著作介绍,不在《物联网之芯:传感器件与通信芯片设计》讲述范围之内。
《物联网之芯:传感器件与通信芯片设计》共10章。第1章阐述了物联网芯片的功能需求、性能需求、成本需求和安全需求;第2章介绍了集成电路产业的发展历史和芯片制造流程,以及常规芯片封装及其命名规则;第3章从材料性能和器件结构出发,描述了传感器件设计的两条主线:材料敏感设计和结构敏感设计;第4章结合物联网数据传输的特点,给出了有线通信模块和无线通信模块设计的方法和案例;第5章介绍了窄带物联网(NB-IoT)的发展轨迹和技术特色;第6章展望了5G通信技术的发展趋势和技术特色,介绍了5G通信技术对物联网工程的推动作用;第7章列举了物联网工程中常用的嵌入式处理器,这些芯片涵盖A/D转换、网络接口、射频模块和存储单元,已演变为SoC系统芯片而运行操作系统;第8章给出了SoC芯片的设计流程和案例;第9章介绍了简化电路结构和降低工作频率是低功耗设计的主题,休眠和待机模式则是低功耗运行的主旋律;第10章阐述了无源感知和无源网络是物联网芯片设计工程师努力的方向。

作者简介

曾凡太,山东大学信息科学与工程学院高级工程师。已经出版“EDA工程丛书”(共5卷,清华大学出版社出版)、《现代电子设计教程》(高等教育出版社出版)、《PCI总线与多媒体计算机》(电子工业出版社出版)等书,发表论文数十篇,申请发明专利4项。

赵帅,毕业于沈阳航空航天大学。资深网络设备研发工程师,从事Android平板电脑系统嵌入式驱动层和应用层的开发工作。曾经在语音网关研发中改进了DSP中的语音编解码及回声抵消算法。现就职于浪潮电子信息产业股份有限公司。

边栋,毕业于大连理工大学,获硕士学位。曾经执教于山东大学微电子学院,指导过本科生参加全国电子设计大赛,屡创佳绩。在物联网设计、FPGA设计和IC设计实验教学方面颇有建树。目前在山东大学微电子学院攻读博士学位,研究方向为电路与系统。

徐胜朋,毕业于山东工业大学电力系统及其自动化专业。电力通信资深专家、高级工程师。现就职于国网山东省电力公司淄博供电公司,从事信息通信管理工作。曾经在中文核心期刊发表了多篇论文。荣获国家优秀质量管理成果奖和技术创新奖。申请发明专利和实用新型专利授权多项。

物联网之芯:传感器件与通信芯片设计 PDF下载

目录


 
丛书序
序言
第1章  ;物联网集成电路(IoT IC)芯片设计概述 1
1.1  ;集成传感器件技术演进 2
1.2  ;物联网集成电路芯片分类 3
1.3  ;物联网集成电路芯片设计要求 4
1.3.1  ;物联网集成电路芯片设计一般要求 4
1.3.2  ;物联网边缘层设备IC芯片设计要求 5
1.3.3  ;物联网中间层设备IC芯片设计要求 6
1.3.4  ;物联网核心层设备IC芯片设计要求 7
1.3.5  ;物联网集成电路芯片安全性设计 8
1.3.6  ;物联网集成电路芯片低功耗设计 9
1.4  ;物联网集成电路芯片生态圈构建 9
1.4.1  ;英特尔布局云端物联网 11
1.4.2  ;Marvell做业界最全芯片平台解决方案 11
1.4.3  ;博通打造最安全物联网平台 12
1.4.4  ;TI建立第三方物联网云服务生态系统 12
1.5  ;物联网集成电路芯片定制化之变 13
1.6  ;物联网集成电路芯片产业化发展 13
1.6.1  ;物联网集成电路芯片技术发展趋势 14
1.6.2  ;IC企业在物联网领域的布局 23
1.6.3  ;传感器芯片和通信芯片是物联网集成电路芯片产业的方向 28
1.7  ;本章小结 29
1.8  ;习题 29
第2章  ;集成电路制造与设计基础 30
2.1  ;集成电路发展简史 30
2.2  ;集成电路产业变迁 32
2.3  ;集成电路分类与命名规则 35
2.3.1  ;按电路属性、功能分类 35
2.3.2  ;按集成规模分类 37
2.3.3  ;按导电类型分类 38
2.3.4  ;按用途分类 38
2.3.5  ;按外形分类 39
2.3.6  ;集成电路命名规则 39
2.4  ;集成电路制造 40
2.4.1  ;晶圆制造 40
2.4.2  ;晶圆生产工艺流程 44
2.4.3  ;集成电路生产流程 44
2.4.4  ;集成电路工艺 46
2.4.5  ;CMOS工艺 49
2.5  ;集成电路封装 49
2.5.1  ;集成电路封装技术 49
2.5.2  ;集成电路封装形式枚举 52
2.6  ;集成电路微组装工艺 58
2.6.1  ;不同工艺芯片组装 58
2.6.2  ;集成电路组装案例 59
2.7  ;数字集成电路设计概要 62
2.8  ;本章小结 64
2.9  ;习题 64
第3章  ;物联网传感器件设计 65
3.1  ;传感器件概述 65
3.2  ;材料型传感器 66
3.2.1  ;材料型传感器的基础效应 66
3.2.2  ;传感器半导体材料特性设计 68
3.2.3  ;掺杂工艺改变半导体敏感特性 69
3.2.4  ;设计材料成分,改变制造工艺,调节敏感特性 72
3.3  ;结构型传感器 73
3.3.1  ;电阻敏感结构 74
3.3.2  ;电感敏感结构 75
3.3.3  ;电容敏感结构 78
3.4  ;半导体敏感器件 81
3.4.1  ;磁敏元件结构 81
3.4.2  ;湿敏元件结构 85
3.4.3  ;光敏元件结构 88
3.4.4  ;气敏元件结构 93
3.5  ;生物敏感元件结构 95
3.5.1  ;酶传感器结构 95
3.5.2  ;葡萄糖传感器结构 97
3.5.3  ;氧传感器结构 99
3.6  ;图像敏感元件结构 101
3.6.1  ;CCD图像传感器 101
3.6.2  ;CMOS图像传感器 106
3.6.3  ;色敏三极管 108
3.7  ;传感器接口技术 109
3.7.1  ;传感器融合 110
3.7.2  ;I3C总线协议 111
3.8  ;几种传感器设计实例 116
3.8.1  ;MEMS传感器概述 117
3.8.2  ;微机电系统(MEMS)压力传感器 118
3.8.3  ;微机电系统(MEMS)加速度传感器 118
3.8.4  ;智能压力传感器 119
3.8.5  ;智能温湿度传感器 121
3.8.6  ;智能液体浑浊度传感器 121
3.9  ;本章小结 122
3.10  ;习题 123
第4章  ;物联网通信集成电路设计 124
4.1  ;通信电路概述 124
4.1.1  物联网常用通信方式 124
4.1.2  物联网通信电路进展 128
4.2  物联网有线通信电路设计 130
4.2.1  RS232电路设计 131
4.2.2  用VHDL设计UART收发电路 132
4.2.3  用Verilog HDL设计USART收发电路 135
4.2.4  RS485电路设计 141
4.2.5  光纤收发器电路 142
4.2.6  USB 2.0接口电路设计 143
4.2.7  USB 3.0芯片设计 147
4.2.8  USB 3.0转千兆以太网单芯片设计 148
4.3  物联网无线通信技术 150
4.3.1  物联网无线通信技术概述 150
4.3.2  物联网无线通信技术特性 154
4.4  RFIC芯片设计 155
4.4.1  RFIC 设计历程 156
4.4.2  RFIC设计流程 156
4.4.3  RFIC设计行业的衰落 160
4.4.4  几款射频芯片性能一览 161
4.5  WiFi芯片设计 163
4.5.1  WiFi芯片产业概况 164
4.5.2  WiFi芯片设计 171
4.5.3  WiFi无线收发基带处理器设计 174
4.5.4  WiFi芯片设计案列 186
4.5.5  5G WiFi技术 191
4.6  蓝牙芯片设计 193
4.6.1  TI CC2541蓝牙芯片概述 193
4.6.2  TI CC2541蓝牙芯片RF片载系统 195
4.6.3  TI CC2541蓝牙芯片开发工具 195
4.6.4  TI CC2541 蓝牙低功耗解决方案 196
4.7  本章小结 197
4.8  习题 197
第5章  窄带物联网(NB-IoT) 198
5.1  NB-IoT概念 198
5.2  NB-IoT商业模式 199
5.3  NB-IoT技术标准 200
5.4  NB-IoT实现高覆盖、大连接、微功耗、低成本的技术路线 201
5.4.1  NB-IoT提升无线覆盖的方法 201
5.4.2  NB-IoT实现大连接的关键技术 203
5.4.3  NB-IoT实现低成本的技术路线 204
5.4.4  NB-IoT实现低功耗的措施 206
5.5  NB-IoT芯片设计 208
5.5.1  NB-IoT芯片设计目标 208
5.5.2  物联网芯片生产厂商产品一览 209
5.5.3  NB-IoT终端芯片系统结构 213
5.5.4  Rx架构的选择 216
5.5.5  Rx混频器(Mixer)设计 216
5.5.6  Rx直流偏移消除电路 218
5.5.7  Tx中的模拟基带 219
5.6  NB-IoT业务范围、应用场景及竞争挑战 221
5.6.1  NB-IoT主要业务范围 221
5.6.2  NB-IoT应用场景 222
5.6.3  NB-IoT发展与挑战 223
5.7  本章小结 223
5.8  习题 224
第6章  物联网5G通信技术 225
6.1  物联网5G通信基本概念 225
6.1.1  5G通信技术研究机构 225
6.1.2  5G通信技术研究进程 229
6.1.3  5G通信技术基本概念 229
6.1.4  5G通信技术应用场景 233
6.2  5G通信关键技术 234
6.2.1  5G通信技术指标 235
6.2.2  5G通信理论基础 235
6.2.3  5G网络关键技术 236
6.3  5G网络建设 245
6.3.1  5G网络主要功能 245
6.3.2  5G网络速率测试 246
6.3.3  5G网络商业应用进程 246
6.4  5G小基站建设 247
6.5  5G芯片设计与实现 250
6.6  5G芯片设计案例——智能手机芯片 253
6.6.1  调制变频技术与多工技术 253
6.6.2  数字通信系统架构 254
6.6.3  无线通信系统架构 256
6.6.4  通信相关集成电路:基频芯片、中频芯片、射频芯片 257
6.7  本章小结 258
6.8  习题 258
第7章  物联网嵌入式处理器应用 259
7.1  4种常见的物联网嵌入式处理器 259
7.1.1  嵌入式ARM微处理器 259
7.1.2  嵌入式MIPS处理器 260
7.1.3  PowerPC处理器 260
7.1.4  x86架构物联网处理器 261
7.2  嵌入式Cortex-M0微处理器 262
7.2.1  LPC1114微控制器 262
7.2.2  ARM微控制器开发的软件——Keil 264
7.3  微处理器应用于温度检测设计实例 265
7.3.1  DS18B20的工作原理 265
7.3.2  LPC1114控制DS18B20温度显示的工程实例 268
7.4  乐鑫ESP8266移动互联网SoC芯片应用 272
7.4.1  ESP8266芯片概述 272
7.4.2  ESP8266引脚定义 273
7.4.3  ESP8266EX内部结构及组成 275
7.4.4  ESP8266EX低功耗管理 278
7.4.5  ESP8266EX外设接口 279
7.4.6  WiFi SoC芯片应用设计 284
7.5  君正物联网处理器 286
7.6  本章小结 289
7.7  习题 289
 
第8章  SoC应用设计 290
8.1  FPAG应用是大数据和物联网的发展趋势 290
8.1.1  FPGA+CPU模式:大数据时代发展趋势之一 290
8.1.2  FPGA替代部分AISC,提升运行效率 291
8.1.3  FPGA在小批量应用上的优势 293
8.2  FPGA性能特色 295
8.2.1  可编程的“万能芯片”——FPGA 295
8.2.2  FPGA的核心优点 295
8.2.3  FPGA的制约因素 296
8.2.4  半导体领域摩尔定律的坚定执行者 297
8.3  SoC设计导论 297
8.3.1  SoC的概念 297
8.3.2  Cortex-M0处理器及总线结构 298
8.4  SoC系统的实现 301
8.4.1  Cortex-M0系统的构建 301
8.4.2  仿真原理和行为级仿真 318
8.4.3  系统编译和分析 323
8.5  本章小结 329
8.6  习题 329
第9章  微功耗无源物联网电源模块设计 330
9.1  电源管理 330
9.1.1  电压变换 331
9.1.2  功耗管理模式 334
9.1.3  功耗分析 335
9.2  微处理器功耗分析 339
9.2.1  微处理器功耗来源 340
9.2.2  CMOS反相器功耗组成 340
9.2.3  集成电路设计中常用的低功耗技术 341
9.3  STM32微处理器节能工作模式 344
9.3.1  STM32芯片的4种低功耗工作模式 344
9.3.2  STM32芯片时钟管理 349
9.4  低功耗集成电路设计 350
9.4.1  低功耗设计的原因 350
9.4.2  功耗分析 351
9.4.3  系统级低功耗设计 361
9.4.4  RTL级低功耗设计 366
9.4.5  门级电路低功耗设计 379
9.4.6  物理级低功耗设计 387
9.5  亚阈值设计 388
9.6  本章小结 390
9.7  习题 391
第10章  物联网无源IC设计前沿技术展望 392
10.1  物联网能源众包 392
10.1.1  光伏发电 392
10.1.2  温差发电 393
10.1.3  风力发电 394
10.1.4  水力发电 395
10.1.5  电磁辐射能发电 397
10.2  无线输电技术 398
10.2.1  无线输电技术溯源 398
10.2.2  无线输电的方法 399
10.2.3  无线输电技术研究进展 401
10.3  无线传感器实例 402
10.3.1  无线传感器概述 402
10.3.2  无线智能温度传感器 403
10.3.3  无线气体传感器 404
10.3.4  无线压力传感器 404
10.3.5  无线温湿度传感器 405
10.3.6  无线传感器的选择方法 405
10.3.7  无线传感器的应用技术 407
10.3.8  无线传感器网络拓扑结构 407
10.4  具有微功耗、低成本、高可靠性、长寿命性能的SoC芯片 410
10.4.1  改进设计架构是重要降耗途径 411
10.4.2  应对碎片化的挑战 411
10.4.3  无线传感器网络SoC芯片的低功耗设计实例 412
10.5  具有信息传感、数据传输、实时控制、无源供电功能的SoC芯片 414
10.5.1  WiFi无线传感器网络及其应用前景 414
10.5.2  选择合适的无线WiFi SoC 芯片 415
10.5.3  选择合适的开发系统 417
10.5.4  代码开发和初步测试 418
10.6  集成电路产业发展趋势 420
10.7  本章小结 422
10.8  习题 422
参考文献 423
序言

媒体评论

“物联网工程实战丛书”给出了物联网工程的学科架构和理论体系。这对于开设物联网专业的高校来说,无论是进行学科建设,还是做课程设置,都具有很高的参考价值。丛书适合作为各院校物联网专业相关课程的教材,也适合作为物联网研发工程师的项目研发读物。

——山东力明科技职业学院信息工程与管理学院院长  陶翠霞

“物联网工程实战丛书”系统地阐述了物联网的理论基础、工程实践和行业应用。丛书是多位一线物联网研发人员和教学人员的经验总结,是一套优秀的物联网专业教材,也是物联网研发工程师产品研发和项目规划的实践指南。丛书对于普及物联网知识和推动物联网技术进步有很大价值,对于青年学生和工程技术人员的物联网技术学习大有助益。

——山东大学教授  赵世民 

《物联网之芯:传感器件与通信芯片设计》是一本具有高应用价值的物联网基础读物。作者结合物联网传感器的特点,系统阐述了传感器的理论基础,介绍了改变敏感材料配方而获取不同传感参数的方法;还介绍了传感器结构变化而引起传感参数变化的原理。读者通过阅读《物联网之芯:传感器件与通信芯片设计》,可以对传感器设计和制造技术及其应用选型有一个全新的认识,从而更加全面地掌握传感器在物联网工程中的应用。

——山东大学微电子学院博士  曾鸣 

《物联网之芯:传感器件与通信芯片设计》是“物联网工程实战丛书”的重要组成部分。书中给出了通信芯片的设计要求和功能范畴,并指出高覆盖、低功耗、低成本、无源芯片是物联网无线通信芯片的追求目标和研发方向。书中给出了无线传感网的基本概念,是读者学习物联网工程芯片设计及传感元件制造的重要参考读物,也是一本很好的高校教学用书。

——山东建筑大学教授  崔元明

前沿

“芯”随“物”动,“物”依“芯”联

物联网芯片产业范畴

物联网(IoT)被认为是世界产业技术革命的第三次浪潮,有着前所未有的大市场。随着物联网的普及,作为核心设备的芯片也迎来蓬勃发展,成为物联网产业竞争的制高点。在千亿连接和万亿市场的吸引之下,运营商、通信设备商、IT厂商、软件公司和互联网企业等各方势力,纷纷竞逐这个潜力无穷的“风口”市场。

物联网芯片产业主要包括RFID芯片、移动芯片、M2M芯片、微控制器芯片、无线传感器芯片、安全芯片、移动支付芯片、通信射频芯片和身份识别类芯片等。囊括在物联网这个术语中的器件有传感器、各种类型的处理器、越来越多的片上和片外存储器、I/O接口和chipsets。封装这些器件的不同方法也在不断涌现,包括云中定制ASIC、各种各样的SoC、用于网络和服务器的2.5D芯片,以及用于MEMS和传感器集群的fan-out晶圆级封装技术。移动芯片作为连接物联网的核心器件,也是整个网络信息传送的枢纽。

物联网芯片产业现状

目前我国物联网芯片的研发企业由于缺乏相关技术人才,创新服务能力不足,再加上芯片设计周期长、风险高等因素,导致了在芯片领域一直处于劣势。我国芯片产业的产业基础、产业结构、产业规模和创新能力与发达国家相比还有很大差距,技术空白点很多,骨干企业规模和利润都远远不及竞争对手。我国物联网发展对芯片需求庞大,核心芯片主要依赖进口。以传感器为例,中高端传感器进口比例高达80%,传感芯片进口比例高达90%,跨国公司在中国MEMS传感器市场占比高达60%。

全球产业正在整合,产业模式在变,中国集成电路产业只有靠创新的研发、创新的思维,才能找到正确路径,避免掉入陷阱。物联网产业规模发展需要跨越三大壁垒:行业壁垒、技术壁垒和需求壁垒。如何突破物联网芯片产业的核心关键技术,正成为我国芯片产业界要考虑的重点。

如何在IC层面推进物联网技术的创新?从不同视角看物联网会有不同的理解。

物联网专家看物联网:物联网芯片要微功耗、低成本、多功能。芯片企业看物联网:小芯片,大机会。投资机构看物联网:只投物联网芯片创业公司,这绝对是产业链的上游。

物联网芯片创业挑战

无论是做物联网芯片、模组,还是做终端产品,创业的风险其实都很大。物联网芯片的定位是位于整个产业链的上游,虽然投入非常大,门槛也很高,但进入后竞争者想要加入的难度会很高。物联网市场的长尾效应,让这些新加入的芯片公司能够在广阔而分散的市场中找到自己的一席之地。芯片市场运营环境正在由运营商需求为主导向行业用户需求为主导转变,所以在这个阶段,芯片初创企业与行业巨头并不是竞争对手,而是开拓各自领域的行业伙伴。

物联网芯片设计听上去像是很简单的主题,但深入一点就会发现,物联网并不是单一的主题,肯定没有什么类型的芯片可以构成物联网的广泛应用和市场普适。

开发用于汽车、医疗设备和工业控制系统的芯片,还存在安全性的考量。这会带来额外的复杂度和成本,另外还需要额外的时间来设计、验证和调试这些设备。

在物联网边缘,这些设备尽可能地与设计目标相符。它们会将数以十亿计的事物连接到互联网。它们必须要廉价,必须出现在现场,必须要能与物理世界进行交互,并且必须满足低功耗要求。通过传感器和执行器与现实世界交互,涉及高电压、物理学、MEMS和光子学这样的领域。物联网芯片设计需要更可靠、更安全,还需要满足一些行业标准,比如汽车领域的ISO 26262或用于工业物联网(IIoT)的OMAC和OPC工业标准。这些都会导致成本增长,也会拉长这些设备上市的时间。尤其是在移动电子产品领域,需要非常低的功耗以延长电池寿命,这需要复杂的电源管理,进一步增加了产品价格和设计复杂性。

“芯”随“物”动:技能实力确定物联网“江湖地位”

芯片的功能、性能和成本随物联网工程应用而动态变化。实现这些变化,要靠芯片设计企业的研发和技术实力。

(1)谁是霸主?群雄逐鹿核心战场

万物互联离不开小小的芯片,包括华为、联发科、英特尔和高通在内的行业巨头纷纷发力物联网芯片。芯片是物联网时代的战略制高点,谁能掌握核心技术,谁就能成为物联网产业的霸主。

战鼓擂响,深耕手机芯片市场多年的联发科聚焦物联网芯片,推出新一代客制化WiFi无线芯片平台系列MT7686、MT7682和MT5932,这3款芯片具备了更多实用功能,功耗大大降低(约90%),唤醒时间小于0.1秒,开发者在开发新产品时能获得周到的技术支持。

华为积极战略布局物联网领域,高度集成的Boudica 120芯片将大规模发货。预计全球将有20多个国家都部署NB-IoT(窄带物联网)网络。华为已经与40多家合作伙伴展开合作,涉及20多个行业业态,在智能停车和消防领域的应用处于领先地位。

风靡城市的共享单车是窄带物联网技术最大的应用市场之一。搭载物联网芯片的单车将从一种出行方式扩展为一种生活方式。摩拜不仅牵手高通,在新款单车中加入高通的最新物联网芯片,还与华为达成战略合作,在窄带物联网应用及创新等领域开展深度合作。

物联网成为推动世界高速发展的重要生产力,各国都在投入巨资深入研究探索,我国也不例外。工信部发布《关于实施深入推进提速降费、促进实体经济发展2017专项行动的意见》,提出了NB-IoT商业化的具体方向,加快NB-IoT商用进程,包括拓展蜂窝物联网在工业互联网、城市公共服务及管理等领域的应用,支持智能工厂、智能联网汽车等创新业态发展。

(2)谁执牛耳?专利才是争夺目标

物联网万亿“蛋糕”虽然美味,但想要咬下去并不是那么容易。在2G、3G甚至4G时代,中国企业并没有占据先发优势,尤其是在核心技术方面,频频吃了专利的亏。例如,高通在CDMA领域拥有3 900多项专利,核心专利600多项,占CDMA所有专利的27%,垄断了全球92%以上的CDMA市场。在中国,这一比例几乎达到100%。吃过专利亏的中国企业在布局物联网时,更应该未雨绸缪,在专利上加大投入,尽早掌握行业的话语权。

根据咨询公司LexInnova发布的物联网专利调查报告显示,芯片厂商和网络设备制造商在物联网专利方面,芯片巨头高通和英特尔排名前两位,专利数量是第三名的两倍。

物联网发展还处在初级阶段,变量还很多,但可以肯定的是,这将是一场激烈的专利战。

(3)全面出击?高通推出系列方案

高通公司第一个产品系列是移动SoC。它保留了高通为智能手机打造的芯片性能;为了适应物联网的需求,做了相应的软硬件调整和改动,使其兼具强劲计算性能和联网能力。

第二个产品系列是应用SoC。它由高通和谷歌联手打造,集成Google Android Things软件系统,支持触摸屏、摄像头及Google Assistant家居中枢产品的应用。家庭环境的物联网产品只需要支持WiFi连接,不太需要4G LTE的连接能力。通过减少对蜂窝技术的支持,优化应用SoC的成本。应用SoC可以用于智能助手类产品、温度调节器、安全类产品,甚至智能冰箱。哈曼和联想分别与高通合作,宣布采用高通家居中枢平台开发家居产品。

第三个产品系列是LTE SoC。它支持面向物联网的4G LTE连接,譬如NB-IoT和e-MTC。LTE SoC系列除了支持LTE蜂窝连接外,还可利用其内置的ARM Cortex M系统微型控制器提供一定的计算性能。此系列非常适合智慧城市的相关应用。

第四个产品系列是连接SoC。这个系列仅内嵌了MCU,因此计算性能有限;在连接方面,仅支持WiFi、蓝牙及802.15.4连接。

第五个产品系列是蓝牙SoC。它结构简单,拥有微型控制器,仅支持蓝牙无线连接。

高通还和亚马逊、微软合作,在芯片的M4微型控制器中集成了它们的云平台SDK。通过这两款平台,高通的客户可以为家居打造成本较低,但仍然具备智能特性的产品。

……

关于《物联网之芯:传感器件与通信芯片设计》

《物联网之芯:传感器件与通信芯片设计》是“物联网工程实战丛书”的第2卷——《物联网之芯:传感器件与通信芯片设计》。《物联网之芯:传感器件与通信芯片设计》基于物联网工程的实际应用,系统介绍了传感器件与通信芯片的设计理念与方法,从源头告诉读者需要设计什么样的芯片,以及如何去设计这样的芯片。

仅以此文致敬那些为物联网的发展做出贡献的工程师们!同时感谢在《物联网之芯:传感器件与通信芯片设计》写作和出版过程中提供过帮助的各位朋友!《物联网之芯:传感器件与通信芯片设计》参考了较多文献,但因为所参考的文献繁多,未能一一列出,非常感谢文献作者对促进我国物联网工程技术的繁荣和发展所做出的贡献。

免费在线读

第1章  物联网集成电路(IoT IC)
芯片设计概述
物联网集成电路芯片由传感模块、通信模块、处理模块和电源模块组成,主要完成信息感知、信息处理及信息传输的功能。
物联网集成电路芯片较一般处理器芯片结构更为复杂,对制造工艺的兼容性要求更高,对电源管理、能源攫取、芯片成本的要求更为苛刻。从性能上要求物联网集成电路芯片具有微功耗、低成本、高可靠性、长寿命的特点;从功能上要求物联网集成电路芯片集信息感知、数据传输、决策处理、实时控制等多种功能于一体;从结构上要求物联网集成电路芯片具备传感单元、射频单元、A/D转换、计算组件、I/O外设、片上存储、时钟锁相电路、电源管理电路等组成部分。
面对物联网集成电路芯片这些新的挑战,我们有必要深入研究材料敏感效应、通信电路结构、能源无线传输和IC设计方法等领域的知识,探索物联网集成电路芯片的设计未知领域,掌握物联网集成电路芯片核心技术,包括物联网集成电路芯片的设计和应用。
集成电路产业是国家重点支持、优先发展的产业。集成电路设计学科和微电子学科都属于理论体系完整、学术架构严密的理工学科。而我国高校新开设的物联网工程专业,其课程设置尚不规范,理论体系有待梳理,学术架构还不清晰,许多学校把物联网工程专业办成了类似计算机专业、通信专业、网络专业、电子专业,这主要是办学单位对物联网工程的学术架构不清晰、教材组织不完善、学科建设论证不充分造成的。
本书以经典微电子理论为指导,以通用集成电路设计技术为基础,阐述物联网集成电路芯片与传感器件的设计方法和应用案例。物联网集成电路芯片的设计、应用,应该作为物联网工程专业学生必须要掌握的基础知识,也是从事物联网工程项目建设技术人员,以及智能硬件研发工程师必须具备并熟练掌握的基础知识。
除了经典的微电子理论体系、通用的集成电路设计技术外,由于物联网芯片集成了传感模块、通信模块、处理模块、电源管理模块,在低功耗、低成本等方面有严格要求,所以物联网集成电路设计和经典集成电路设计相比,有更苛刻的设计要求和不同制造工艺兼容并存的挑战。本书侧重阐述传感模块设计、通信模块设计和电源模块设计的内容。
1.1  集成传感器件技术演进
在物联网的带动下,芯片产业蓬勃发展。根据市场研究机构IC Insights发布的最新报告指出,物联网和汽车应用将成为2015~2020年带动芯片销售增长最主要的因素。在此期间,物联网集成电路芯片销售额的复合年增长率(CAGR)有望达到13.3%,而车用芯片的复合年增长率则可达到10.3%。同期整个半导体行业的市场规模也会提升4.3%,如图1.1所示。
图1.1  IoT IC增长预测(来自Gartner)
物联网集成电路芯片技术的演进,在于元器件和模块的异构集成技术。对于物联网传感模块的技术演进可以归纳为一系列的产品,有七代,包括大型工业智能传感器、第一代物联网传感器、下一代物联网传感器、物联网集成传感器、传感网络、综合系统、印刷电子等。物联网集成电路芯片技术演进路线如图1.2所示。
第一代物联网传感器模块已经面世,这些模块与其他电子元器件封装而成,集成了传感模块的物联网芯片,为工程应用提供新的功能。今天,这样的物联网设备有很多,如Nest智能温控器(谷歌收购)、智能叉子、智能喷头等,还包括可穿戴设备、家庭和楼宇自动化设备等。
未来的集成传感器是物联网专用的集成传感器。因其具有极低的功耗和成本(如基于MEMS的传感器),所以非常适合大批量生产和大规模部署应用。这种传感器应用于工业领域、工程环境、危险化学物质检测等方面。物联网集成传感器的另一个应用是进行汽车运动状态监测,而无人驾驶、自动驾驶技术是当下研究热点,故该类集成传感器有着广阔的应用场景。
 
图1.2  物联网传感技术演进路线图
1.2  物联网集成电路芯片分类
物联网集成电路芯片是指根据市场导向,按应用需求提出的一类适合在物联网工程中应用的集成电路芯片。
物联网(IoT)就是将设备、物体连网,然后基于此实现服务。为了实现这一目标,物联网系统设备需要一个三层架构:核心层设备、中间层设备和边缘层设备。
? 核心层设备:包括服务器和云组件。
? 中间层设备:在边缘层设备和云端设备之间进行数据传输的路由、交换、网关等组件。
? 边缘层设备:构成互联网与真实世界之间的接口的物联网边缘设备,包括信息传感器件和信息执行部件。
根据物联网三层次学说,在物联网核心层要做信息运算、决策处理,需要有运算处理芯片,即物联网处理器;在物联网中间层主要做信息传输工作,无线传输需要射频处理芯片,有线传输需要路由、交换芯片;在物联网边缘层,信息感知需要传感器件或集成了电源管理部分、能量获取部分、传感器阵列部分的IC芯片。在执行部件中,需要运算处理部分、功率驱动部分的IC芯片。
综上所述,物联网集成电路芯片基本上分为如下几种。
? 运算处理芯片,各种不同位数、不同频率的物联网处理器。
? 通信芯片,射频基带芯片、路由、交换芯片。
? 各类物理、化学、生物、运动信息传感芯片,功率驱动芯片。
? 集传感模块、通信模块、处理模块为一体的低成本无源芯片。
物联网涉及各行各业,传感器需要感知物理、化学、生物、运动等许多种类的参数。当前,还没有什么类型的芯片,在物联网的工程应用和IC市场上普适、有效。一个通用的工程设计方法,即多传感器阵列设计,使感知的信息种类尽量多。
物联网集成电路芯片架构包括传感器件、处理器、片上和片外存储器、I/O接口等。芯片的设计、生产、封装的新方法、新工艺也在不断涌现,包括定制ASIC方法、EAD环境中设计SoC的流程、用于网络和服务器的2.5D芯片工艺以及用于MEMS和传感器(阵列)集群的fan_out晶圆级芯片封装技术。在开发用于汽车、医疗设备和工业控制系统的物联网芯片上还要考虑数据保密、信息安全的问题。
通用物联网集成电路芯片的设计目标不只是覆盖一种应用,还可以处理多种应用。IoT IC虽然有很多通用版本,但在一些案例中,物联网集成电路芯片设计工程师会进行专用物联网集成电路芯片的设计,然后尝试为其他物联网项目重新利用它们(设计复用)。将来,会有更多针对特定应用而专门设计的物联网专用芯片面市。
1.3  物联网集成电路芯片设计要求
本节将说明物联网集成电路芯片较其他芯片不同的设计要求,并阐述物联网集成电路芯片的低成本、微功耗、大连接、长寿命的性能要求,同时会给出物联网集成电路芯片的信息感知、数据传输、信息处理、实时控制的功能要求。
1.3.1  物联网集成电路芯片设计一般要求
物联网集成电路芯片设计的一般要求如下。
? 功能要求:具有传感功能、有线或无线信息传输功能、信息路由和交换功能、数据计算功能和信息安全保密功能。
? 性能要求:多参数采样、分辨率高,采样速率涵盖慢速和高速信号,测量精度具备非线性矫正能力。
? 功耗要求:物联网传感器件对功耗的要求是苛刻的,有的要求5~10年不换电池,有的要求靠自身获取能量,无电池供电。物联网通信芯片采用窄带、慢频率、长休眠和低缓存等方法降低功耗。物联网计算处理芯片以ARM芯片的功耗设计为领先,单位能量的指令数较高(性能功耗比的单位为MIPS/W)。
? 通信要求:物联网集成电路芯片要求具有无线通信或有线通信能力,无线通信与主流通信协议兼容,例如通信运营商热推的NB-IOT(窄带物联网),其要求带宽窄、功耗低、成本低。有线通信芯片除了具有信息交换、路由功能外,主流通信协议,各类通信总线规范要尽量兼容,例如I3C通信协议,就与I2C协议兼容。
? 安全要求:物联网通信芯片及其执行部件,要具备安全功能和数据保密能力。数据通信不被窃取,执行部件不能误动作。这些功能目前主要由软件完成,“硬加密”在芯片设计中的应用不多。
? 成本要求:物联网传感器件要求极低的成本,以便大量部署。
1.3.2  物联网边缘层设备IC芯片设计要求
物联网边缘层设备IC芯片设计要求如下。
? 低成本设计。物联网边缘层设备IC芯片需求,是芯片设计较难的地方。边缘层设备量大、面广,部署地域自然条件差,芯片设计需具备安全性、低功耗、低成本和标准化等特点。
集成电路工艺从55nm和40nm工艺节点,发展到40nm和28nm工艺节点,或迁移到更低的工艺节点,这会节省更多成本,以后成本还会继续下降。
?另外,也有其他降低成本的方法,如将多个传感器封装到一个集群中以实现规模经济,因为生产集群传感器的成本比单独生产单个传感器的成本更低,即使并非所有的传感器都会被使用。
? 交互性设计:边缘层设备将数以十亿计的事物连接到物联网,在交互性设计上要求必须出现在现场、必须满足功率要求、必须通过传感器和执行器与现实世界交互。
? 行业标准:要让物联网芯片设计更可靠、更安全,首先要满足不同行业的行业标准,比如汽车领域的ISO 26262标准或用于工业物联网(IIoT)的OMAC和OPC工业标准。
ISO 26262为汽车安全提供了一个生命周期(管理、开发、生产、经营、服务、报废)理念,并在这些生命周期阶段中提供必要的支持,该标准涵盖安全方面的整体开发过程(包括需求规划、设计、实施、集成、验证、确认和配置)。ISO 26262主要定位在汽车行业中特定的电气器件、电子设备和可编程电子器件等专门用于汽车领域的部件,是汽车电子产品关于安全功能的国际标准。
工业物联网(IIoT)领域的机械自动化与控制组织(OMAC)、OPC基金会推进针对工业物联网的通信协议的研发和应用。
OMAC推出的ISA-TR88.00.02自动化标准,称为PackML,与通用离散控制项目有良好的兼容性,可有效提高生产速度、集成度和可靠性。PackML是机器编程及机器对机器和管理数据采集的一项关键标准。
OPC基金会的统一架构(OPC UA)是一种工业互操作性框架。它可以提供内置的有安全访问权限的信息建模,可扩展至整个工厂,包括从传感器到企业的信息系统和云服务。
? 电源管理:在移动电子产品领域,系统需要非常低的功耗以节省电池寿命。这需要复杂的电源管理设计,因此又进一步增加了芯片结构的复杂性和成本。
? 传感器管理单元设计:向着更标准化的传感器单元发展,将其变成一个平台,而不是定制设计的专用传感器。所以每个系统都会有传感器,设计传感器中枢是较好的物联网集成电路芯片设计理念。  
1.3.3  物联网中间层设备IC芯片设计要求
物联网中间层设备主要指中间层服务器、网关、路由器和交换机等设备,这些设备所需芯片具有通信路由、计算处理、数据交换功能,称为网络处理器或嵌入式网络处理器。
当思科首次开始预测数十亿设备将一起构成物联网(IoT)时,其假设数据会通过网关从边缘层设备发送到云端。原始数据会被处理和分析,然后相关信息会通过网关被传回边缘层设备。
这种场景存在一些弊端。
首先,和本地数据处理情况相比,通信I/O模块需要消耗更多能量,这就影响了移动设备的电池寿命。人们开发出了各种各样的中间服务器来连接边缘层设备到云端,这可以提供一种次级的,有时候甚至第三级和第四级的数据过滤,以减少数据传输时的网络负荷。
当前,在边缘层设备芯片设计中,我们有最小化功率设计(低功耗设计)。历史上我们侧重的性能最大化设计(以提高性能为目的的设计),在物联网芯片设计中更重要的是低功耗设计,这就改变了设计的侧重点。显然,电源关断和电池电压采样仍然是低功耗设计的必要步骤。但如果可以降低芯片工作频率,那么就可以应用分层计算来实现芯片的计算功能。
第二,传感器生成的数据太多了,不可能将所有数据都发送到云端,这就需要中间的计算平台。中间层设备可以被安置在云端和边缘层设备之间,这种中间平台设备可以是智能或普通的网关、边缘服务器、雾服务器等。一个网关的实例如图1.3所示,它具有数据传输、远程控制和云服务功能。
基于以上分析,中间层设备所需芯片的设计需求为:
? 具有较强的网络通信能力,能通过有线互联网或无线互联网实现网络数据的上传和下达。
? 具有较强的数据计算能力,能对采集的传感器数据实时处理、判断、决策,迅速反应能力是物联网应用、特别是工业物联网应用的充分必要条件之一。
? 具有适度的数据存储能力,传感器数据的采集是连续、长期、稳定的数据产生源。有些数据没有存储价值,要对采样数据进行删除或选择存储,适度的存储容量,也是中间层设备所需芯片的必要条件。
? 具有数据安全、加密控制能力,这是保障物联网被控设备不被恶意攻击、盗用,确保控制系统安全稳定运行的必要条件。
图1.3  Mentor的可定制IoT网关 
1.3.4  物联网核心层设备IC芯片设计要求
基于云的服务器是 IoT 计算的云端设备,而且这也是物联网现在发生最大变化的地方,也是目前获得投资最多的地方。
数据中心建设推动了服务器市场的繁荣,服务器用的核心CPU是数据中心设备的关键芯片。
物联网核心层设备IC芯片设计要求如下:
? 高性能服务器CPU芯片设计,具有更高工作频率、更快运算速度的高端CPU设计。
? 高吞吐量的路由、交换芯片设计,数据中心在云端,大数据量传输、路由、交换,需要高性能的网络通信芯片,快速地完成大量的访问请求。边缘层设备生成的数据既不一致又数量庞大,为了解决这个问题,芯片制造商和系统公司已经开始为路由逻辑和吞吐量设计全新的架构。在一些案例中是把处理过程移到网络中,甚至各种类型的内存中,如图1.4所示。
图1.4  用于健康监控的基于微软Azure云的架构(来自微软)
? 更大存储容量的存储芯片设计,海量数据储存、更高频次数据检索,对高性能存储器的需求越来越迫切。软件定义存储(software-defined storage)是现在的重大改变之一。云计算的两大趋势是超融合(hyperconvergence)与超扩展(hyperscaling)。
超融合是指以一种垂直配置的方式增加计算和存储,而超扩展是给网络增加更多的单元和更大的带宽。所以超融合是向上发展,超扩展是向外发展。
内存芯片创新设计是一个关键领域。尽管DRAM和SRAM仍然是内存芯片设计的关键技术,但一些新型的内存类型,包括 MRAM、相变存储器(PCM)、ReRAM、低负载DIMM(LRDIMM)、非易失性DIMM(NVDIMM)、存储级内存DIMM(SCMDIMM)以及将来的缓冲存储器 DIMM 已经出现。
重要的不只是内存类型,还有在不同于传统使用方式的地方安装内存实体的能力。
内存解决方案有三大基本要素。首先,它需要在适当的存储容量和成本要求的条件下满足存储的功能需求;其次,它是一种无处不在的接口,任何有空间的地方都可以放置存储模块;第三,需要有软件意识,能充分利用这些内存模块。
1.3.5  物联网集成电路芯片安全性设计
人们对物联网设备安全性的担忧不断加剧,而安全性问题越加困难的原因在于:随着越来越多的设备联网,所有三个层级的设备在某种程度上都能通过网络进行交互。
安全性也需要在架构层面加以解决,涉及的组件越多,要确保电子设备或系统的安全就越困难。将所有东西都放在同一块芯片中可以降低被入侵的风险。围绕芯片需要构建信任链,包括从IP的存储和管理方式、数据的共享方式等。很多芯片在设计时几乎没有涉及安全性,芯片设计师认为可以靠软件做到这一点,通过软件实现一些加密来改善系统安全。
如果万物互联,那么数据就可能被窃取,机器就可能被操控。 
IoT时代,不管存在什么安全问题,都需要每个设备在互联层面,解决窃取、盗用和失控等问题。对于未来即将到来的巨大机遇,开发 IoT 芯片,其安全性是第一任务。
1.3.6  物联网集成电路芯片低功耗设计
物联网产品由于其应用的特殊性,在很多情况下是使用锂电池或者纽扣电池供电,而又由于物联网大多数产品的尺寸都比较小,所以就限制了电池的容量,这样对于物联网产品来说,如何降低功耗就成为了重点关注的问题。除了屏幕外,最耗电的模块在主控芯片上,芯片厂商在芯片设计的过程中如何做好功耗控制,则成为亟需考虑的问题。
降低功耗的方法,可以从芯片设计和芯片应用两个途径来实现。
在芯片设计上可以考虑以下因素:
? 简化芯片结构,省略不必要的I/O电路驱动电路结构。
? 采用并行计算,降低芯片工作频率。
? 采用门控时钟、亚阈值设计等一些节能新技术。
? 采用其他能量转化为电能,为芯片持续供电。
在芯片应用上可以考虑以下因素:
? 延长收发数据时间间隔,减少无效工作活动。
? 休眠唤醒工作机制,深度休眠、减少待机功耗。
? 不同应用场景,采用不同工作频率。


芯片设计概述

物联网之芯:传感器件与通信芯片设计 pdf下载声明

本pdf资料下载仅供个人学习和研究使用,不能用于商业用途,请在下载后24小时内删除。如果喜欢,请购买正版

pdf下载地址

版权归出版社和作者所有,下载链接已删除。如果喜欢,请购买正版!

链接地址:物联网之芯:传感器件与通信芯片设计